Publications

  1. S.-C. Kao, S. Subramanian, G. Agrawal, A Yazdanbakhsh, T Krishna, “FLAT: An Optimized Dataflow for Mitigating Attention Performance Bottlenecks”, Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems(ASPLOS), 2023, paper
  2. S.-C Kao, A Parashar, P-A Tsai, and T Krishna, “Demystifying Map Space Exploration for NPUs”, IEEE International Symposium on Workload Characterization (IISWC), Nov. 2022
  3. S.-C Kao, A Yazdanbakhsh, S Subramanian, S Agrawal, U Evci, and T Krishna, “Training Recipe for N:M Structured Sparsity with Decaying Pruning Mask”, ICML Workshop on Sparsity in Neural Networks Advancing Understanding and Practice, 2022
  4. S.-C. Kao, H Kwon, M Pellauer, A Parashar, T Krishna,”A Formalism of DNN Accelerator Flexibility”, ACM SIGMETRICS/Performance conference (SIGMETRICS), Jun 2022, paper
  5. S.-C. Kao, T Krishna, “MAGMA: An Optimization Framework for Mapping Multiple DNNs on Multiple Accelerator Cores”, HPCA, 2022, paper
  6. S.-C. Kao, M Pellauer, A Parashar, T Krishna, “DiGamma: Domain-aware Genetic Algorithm for Mapping-HW Co-optimization for DNN Accelerators”, Design, Automation and Test in Europe Conference (DATE), March 2022, paper
  7. S.-C. Kao, X. Huang, T Krishna, “DNNFuser: Generative Pre-Trained Transformer as a Generalized Mapper for Layer Fusion in DNN Accelerators ”, arXiv, Jan 2022, paper
  8. S.-C. Kao, T Krishna, “E3: A HW/SW Co-design Neuroevolution Platform for Autonomous Learning in Edge Device”, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2021, paper
  9. S.-C. Kao, T Krishna, “GAMMA: Automating the HW Mapping of DNN Models on Accelerators via Genetic Algorithm”, IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2020, paper, code, video
  10. S.-C. Kao, G Jeong, T Krishna, “ConfuciuX: Autonomous Hardware Resource Assignment for DNN Accelerators using Reinforcement Learning”, IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, paper, code, video
  11. S.-C. Kao, A. Ramamurthy, R. Williams, T Krishna, “Conditional Neural Architecture Search”, Resource-Constrained Machine Learning (ReCoML’20), March 2020, paper
  12. S.-C. Kao, A. Ramamurthy, T Krishna, “Generative Design of Hardware-aware DNNs”, arXiv, 2020, paper
  13. S.-C. Kao, C.-H. Yang, P.-Y. Chen, X. Ma, T. Krishna, “Reinforcement Learning based Interconnection Routing for Adaptive Traffic Optimization”, IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Oct. 2019, paper, code
  14. S.-C. Kao, D.-Y. Lee, A.-Y. Wu, “Bloom Filter And Implementation Method Thereof”, US Patent, 2020, paper
  15. S.-C. Kao, D.-Y. Lee, A.-Y. Wu, “Dynamically Updatable Ternary Segmented Aging Bloom Filter for OpenFlow-Compliant Low-Power Packet Processing”, IEEE/ACM Transaction On Networking, March 2018, paper
  16. D.-Y. Lee, S.-C. Kao, A.Y. Wu, “Dynamically Updatable Mechanisms for OpenFlow-compliant Low-power Packet Processing”, Book chapter in “Advances in Networks: Security and Communications: Reviews”, 2019, paper
  17. C.-c. Wang, Y.-T. Chen, D.-Y. Lee, S.-C. Kao, A.-Y. Wu, “Profiling and SW/HW Co-design for Efficient SDN/OpenFlow Data Plane Realization”, IEEE International Conference on Electronics Information and Emergency Communication (ICIEC), July 2017, paper
  18. E. Qin, G. Jeong, W. Won, S.-C. Kao, H. Kwon, S. Srinivasan, D. Das, G. Moon, S. Rajamanickam, T. Krishna, “Extending Sparse Tensor Accelerators to Support Multiple Compression Formats”, IEEE International Parallel & Distributed Processing Symposium (IPDPS), May 2021, paper